新闻  |   论坛  |   博客  |   在线研讨会
ADPCM语音编解码电路设计及FPGA实现
yanqin | 2009-06-24 18:49:07    阅读:1030   发布文章

 

    近年来,多媒体技术逐渐深入到人们的生活中。mp3播放器已经成为流行的便携式音频播放设备,由于mp3编码算法非常复杂,目前,一部分mp3播放器的录音功能主要基于adpcm算法和dsp来实现。本文阐述了adpcm语音编解码vlsi芯片的设计方法以及利用fpga的硬件实现。

adpcm算法及其编解码器原理

    adpcm(adaptive differential pulse code modulation,自适应差分脉冲编码调制)综合了apcm的自适应特性和dpcm系统的差分特性,是一种性能较好的波形编码。它的核心思想是:利用自适应改变量化阶的大小,即使用小的量化阶去编码小的差值,使用大的量化阶去编码大的差值;使用过去的样本值估算下一个输入样本的预测值,使实际样本值和预测值之间的差值总是最小。adpcm记录的量化值不是每个采样点的幅值,而是该点的幅值与前一个采样点幅值之差。

    adpcm是利用样本与样本之间的高度相关性和量化阶自适应来压缩数据的一种波形编码技术。adpcm标准是一个代码转换系统,它使用adpcm转换技术实现64kb/s a律或u律pcm(脉冲编码调制)速率和32kb/s速率之间的相互转换。adpcm的简化框图如图1所示。

    adpcm编解码器的输入信号是g.711 pcm代码,采样率是8khz,每个代码用8位表示,因此它的数据率为64kb/s。而adpcm的输出代码是“自适应量化器”的输出,该输出是用4位表示的差分信号,它的采样率仍然是8khz,它的数据率为32kb/s,这样就获得了2∶1的数据压缩。

电路的整体结构

    基于adpcm算法,可将语音编解码vlsi芯片分成编码、解码、存储、控制和时钟几个模块。编码模块实现数据压缩功能,将输入的pcm信号转换成adpcm码;存储模块在控制模块的作用下,保存编码所得的adpcm码;解码模块实现解压缩功能,将adpcm码转换得到pcm码;控制模块的作用是控制其他模块的协调工作;时钟模块主要实现对外部晶振的原始时钟信号进行分频,以得到电路系统实际所需的时钟信号。

    电路整体结构如图2所示,其中en_en、en_de分别是编码和解码的使能信号,rst则为复位信号。当we为“1”时,ram写有效,而当 we为“0”时,ram读有效,cs为“1”时,ram可进行写或者读操作。


(a) adpcm编码器


(b)adpcm解码器

图1 adpcm简化框图

电路设计过程

    本文采用top-down方法进行电路设计。主要设计流程如下:首先基于verilog hdl运用active-hdl进行电路的rtl级描述和功能仿真;将经过功能仿真正确的程序在quartus ii开发系统中进行综合和适配;接下来将得到的网表文件(.vo)和具有时延信息的反标文件(.sdo)调入modelsim se中,并加入所选器件相应的器件库进行时序仿真;时序仿真通过后,将quartus ii得到的“*.sof”文件通过jtag配置模式下载到fpga中进行不可掉电的实际测试,也可将“*.pof”文件通过as配置模式下载到fpga中进行可掉电的实际测试。电路系统的顶层程序如下。


图2 电路整体结构图

module  adpcm_top ( pcm_out,pcm_in ,record,play,clk, clk8k);
parameter  addr_width=14;
parameter  pcm_width=8;
input   [pcm_width-1:0] pcm_in ;
wire    [pcm_width-1:0] pcm_in ;
output  [pcm_width-1:0] pcm_out ;
wire    [pcm_width-1:0] pcm_out ; 
input   clk, record,play;
output  clk8k;
wire    [3:0] code_in,code_out;
wire    en_encoder,en_decoder,re_rst,pl_rst,we,cs,clk_8k;
wire    [addr_width-1:0] address;
assign   clk8k =clk_8k;
clock_ge  u0 (clk,record, clk_8k);
encoder_new   u1 ( pcm_in,re_rst ,en_encoder, clk_8k ,code_in );
ram       u2 (address,we,cs,clk_8k,code_in,code_out);
decoder_new   u3 (pl_rst, clk_8k ,code_out ,en_decoder,pcm_out); 
controllogic  u4 (cs,re_rst,pl_rst,en_encoder,en_decoder,we,address,record,play,clk_8k);
endmodule

子模块电路设计及仿真

    整个语音编解码vlsi芯片包括编码电路、解码电路、存储电路、控制电路和时钟电路几个部分。下面分别具体描述关键电路的设计。

1 编码电路
    编码电路实现数据压缩功能,将输入的pcm信号转换成均匀的pcm码,然后与预测信号进行差分,得到的差分信号经过“自适应量化器”进行压缩编码得到adpcm码,adpcm码被返回经过“逆自适应量化器”以及“自适应预测器”用来构建下一个预测信号。编码电路仿真波形如图3所示,其中pcm_in为编码器输入信号(pcm码),code为编码后得到的输出信号(adpcm码)。


图3 编码电路仿真波形

2 解码电路

    解码电路实现解压缩功能,将adpcm码经过“逆自适应量化器”得到量化差分信号,量化差分信号与预测值相加得到重构信号,然后转换成pcm码。解码电路仿真波形如图4所示,其中code为解码器输入信号(adpcm码),pcm_out为解码后得到的输出信号(pcm码)。与图3中编解码前的pcm_in对比,可以看出解码误差很小。

3 其他模块

    控制电路控制其他电路模块的协调工作,在编码的同时使能存储器写入信号,使编码电路输出数据可以及时存入存储器;在解码的同时使能存储器读出信号,编码和解码不能同时进行。时钟电路主要实现对外部晶振的原始时钟信号进行分频,以得到电路系统实际所需的时钟信号。本系统采用的外部晶振固有频率为14.318mhz,经过分频后可以获得8khz时钟。存储电路在控制电路的作用下,保存编码所得的adpcm码(32kb/s),由于只需验证电路的功能,所以只设定了2s的录音存储空间,即64kb存储容量。

整体电路仿真

    在子模块电路仿真正确后,对系统整体进行仿真,可以得到图5所示波形。此次仿真输入信号pcm_in激励采用testbench产生。在编码使能信号record为“0”时,开始编码,record跳变到“1”时,编码被屏蔽;此时解码使能信号play为“0”,开始解码,play跳变到“1”时,解码被屏蔽。从图中可以看出编码前输入信号pcm_in的激励和解码后输出pcm_out的响应基本符合。由于adpcm算法本身是有损压缩,可以确定本电路系统的设计是正确可靠的。

fpga验证及结论

    本文基于fpga验证所设计的电路。这里选用altera公司cyclone系列的ep1c6q240c8器件,其内部有90kb的存储容量,6k个逻辑单元,2 个pll。由于电路采用8khz采样频率,编码后的adpcm码为4位,设定录音时间为2s,所以需要64kb存储容量;同时,设计需要大约400个左右的逻辑单元。所以选用此低成本的fpga即可满足设计要求,而且基本上充分利用了内部资源。此芯片的引脚有240 个,能满足外面的引脚连接,而且价格也易于接受。

    fpga验证表明:电路的最大时钟延迟为26.903ns,最高工作频率可达37.17mhz,可以很好地再现被录入的语音,具有较高的保真度和很好的实时性。在电子地图、车载信息终端语音播报、治安报警系统,特别是便携式语音记录装置等方面具有较高的应用价值。同时,也指明了数字语音压缩处理高效设计方法的方向。


图4 编码电路仿真波形


图5 系统仿真波形


  


*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客